Content for Digilent Basys 3

Digilent Basys 3

The Basys 3 is an entry-level FPGA development board designed exclusively for the Vivado® Design Suite featuring the Xilinx® Artix®-7-FPGA architecture. Basys 3 is the newest addition to the popular Basys line of FPGA development boards for students or beginners just getting started with FPGA technology. The Basys 3 includes the standard features found on all Basys boards: complete ready-to-use hardware, a large collection of on-board I/O devices, all required FPGA support circuits, and a free version of development tools and at a student-level price point.

Basys3 Oscilloscope

“Creating a simple oscilloscope with the Basys3 board Introduction The Digilent Basys3 board is a very capable board to get started developing FPGA projects with. It provides the users with an Artix 35T device, USB-UART, Four Pmods - Including one …

SPI Interface Code for Pmod ALS (8-bit ADC) in Verilog

“SPI Interface code for Pmod ALS (8-bit ADC) in Verilog is implemented from scratch,and transmitted to 7-seg display on Basys3 FPGA board. Story In this project, SPI Interface code is written in Verilog to interface an 8-bit ADC from …

UART Interface in VHDL for Basys3 Board

“A tutorial on creating an UART interface between the Basys 3 board and the computer terminal in VHDL running on FPGA. Some time ago, I was looking for an UART design in VHDL that was easy to follow, and surprisingly …

UART Transmit with HLS for FPGA

“This project implements a UART transmit logic design in HLS. Like my previous projects, this one also demonstrates that “Designing digital systems with HLS for FPGA is fun”. If you are interested in learning HLS coding techniques please refer here …

VGA Painting Program

“Project by: Adam Klein, Ian Strachan, Brandon Slater The project that we set out to complete was to store, parse, and display information from a USB mouse in the form of a painting program. The idea behind the project is …

Dimmable LED Using BASYS 3 Board

“In this guide we are going to build and control an external LED dimming system. With the available buttons, the user can dim the LED bulb to any desired brightness. The system uses the Basys 3 board, and it is …

Fuel Efficiency Detector

“Cars are the main mode of transportation in the world today. Specifically, in California, we are surrounded by streets, highways, and toll roads that thousands of cars drive on daily. However, cars use gas and california uses the most gasoline …

Mastermind With an 8x8 RGB LED Matrix

“Required parts: Basys3 FPGA 8x8 RGB LED Matrix by GEEETECH 9V battery 2N3904 transistors (x32) 1K resistor (x32) 100 Ohm resistor (x1) 50 Ohm resistor (x1) The LED Matrix is a common anode matrix with 32 total pins. The common …

Project  Minesweeper

Minesweeper

“For our CPE 133 final project, Chase and I decided to create a Minesweeper game that utilized button and switch input from a Basys-3 board as well as VHDL code. A better name for the game could very well be …

VHDL Stopwatch

“This is a tutorial on how to make a stopwatch using VHDL and a FPGA circuit board, like a Basys3 Atrix-7 Board. The stopwatch is able to count from 00.00 seconds to 99.99 seconds. It uses two buttons …