Main Content

VHDL Stopwatch

This is a tutorial on how to make a stopwatch using VHDL and a FPGA circuit board, like a Basys3 Atrix-7 Board. The stopwatch is able to count from 00.00 seconds to 99.99 seconds. It uses two buttons, one for the start/stop button and another for the reset button. The numbers are displayed on the board’s seven-segment display using its anodes and cathodes. There are three different files needed in order to get this stopwatch to work.”

Link to article