Content for Verilog

Verilog

Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register-transfer level of abstraction. It is also used in the verification of analog circuits and mixed-signal circuits, as well as in the design of genetic circuits. In 2009, the Verilog standard (IEEE 1364-2005) was merged into the SystemVerilog standard, creating IEEE Standard 1800-2009. Since then, Verilog is officially part of the SystemVerilog language. The current version is IEEE standard 1800-2017.

From Blinker to RISC-V

“This tutorial is a progressive journey from a simple blinky design to a RISC-V core. It works with the following boards: - IceStick - IceBreaker - ULX3S - ARTY If you do not have a board, you can run everything in simulation (but …

Send video/audio over HDMI on an FPGA

“SystemVerilog code for HDMI 1.4b video/audio output on an FPGA. Why? Most free and open source HDMI source (computer/gaming console) implementations actually output a DVI signal, which HDMI sinks (TVs/monitors) are backwards compatible with. To support …

“The Thing”: FPGA + STM32

“An homemade FPGA board with an Arduino STM32, “Multicomp” compatible Two dev boards into one: a STM32 based Arduino (“Maple Mini” compatible) and a Cyclone II FPGA dev. board to start playing with VHDL/Verilog. It is also “Multicomp” compatible …

Space Invaders FPGA Game

“This two person project was completed through the course of Embedded Systems at the University of Thessaly, Department of Computer Engineering. In the context of this game we implemented the classic space invaders game using a zedboard fpga. The code …